@Andruwkoo

Какие бывают HDL симуляторы?

Изучаю ПЛИС, для этого пользуюсь ПО от альтеры Quartus II. Немного знаком с ModelSim. Не могли бы вы подсказать, какие средства разработки пользуются на производстве в реальных проектах, чтобы посмотреть и начать их изучение?
  • Вопрос задан
  • 2701 просмотр
Решения вопроса 1
@nerudo
Мы ведь про симуляторы?
ModelSim (Mentor) - наиболее доступное, особенно с учетом наличия бесплатной версии, есть более продвинутая версия QuestaSim. На первых порах знакомства с ним будет вполне достаточно, на мой взгляд.
Кто-то любит ActiveHDL/Riviera (Aldec).
Из более-менее актуального еще Incisive (Cadence) и VCS (Synopsis).
Ну и не забывайте встроенные симуляторы в Quartus (Alteraa) и ISE (Xilinx)
А вообще: en.wikipedia.org/wiki/List_of_HDL_simulators
Ответ написан
Пригласить эксперта
Ответы на вопрос 1
Papayaved
@Papayaved
FPGA, MCU
У Altera нету встроенного симулятора начиная с Quartus версии 10.0 и он был очень простой, тестовые воздействия задавались только графически.

Xilinx ISim, почти ни чего не поддерживает из SystemVerilog, при этом не говорит об ошибках, а делает не правильную симмуляцию.

QuestaSim и ModelSim ни чем не отличаются со стороны графического интерефейса, различаются только в движке симмулятора. В целом QuestaSim лучше поддерживает ООП в SystemVerilog, то что работает в QuestaSim может не работать в ModelSim. В бесплатной версии, кроме скорости работы (только одно ядро процессора), также может что-то не работать в отличие от платной (как-то пробывал моделировать маленький проект, но пришлось искать лекарство).

Средства симуляции покупаются дополнительно к IDE и стоят не меньше. Так что, если трясти работодателя на покупку, то надо покупать все, иначе в покупке смысла нет.
Ответ написан
Комментировать
Ваш ответ на вопрос

Войдите, чтобы написать ответ

Войти через центр авторизации
Похожие вопросы